/
As discussed in Chapter 1, the  industry As discussed in Chapter 1, the  industry

As discussed in Chapter 1, the industry - PDF document

yoshiko-marsland
yoshiko-marsland . @yoshiko-marsland
Follow
402 views
Uploaded On 2016-08-22

As discussed in Chapter 1, the industry - PPT Presentation

Upgrading to a New Wafer SizeWafer size increases can also be viewed interms of percentage increase in wafer area asshown in Figure 73 Interestingly the movefrom 100mm 4 inch wafers to 150mm 6 ID: 454389

Upgrading New Wafer

Share:

Link:

Embed:

Download Presentation from below link

Download Pdf The PPT/PDF document "As discussed in Chapter 1, the industry" is the property of its rightful owner. Permission is granted to download and print the materials on this web site for personal, non-commercial use only, and to display it on your personal computer provided you do not modify the materials and that you retain all copyright notices contained in the materials. By downloading content from our website, you accept the terms of this agreement.


Presentation Transcript

As discussed in Chapter 1, the industryÕsability to increase productivity by 25-30 per-cent per year is the combined result of waferequipment productivity improvements, andincremental yield improvements. Wafer sizetransitions historically account for 4 percentof the 25-30 percent productivity gain.because of the overall cost benefits resultingfrom the larger number of dice per wafer,thereby using the same number of processsteps to produce more dice. Based on histor-ical trends, peak demand for 200mm waferswill be reached around 2003, as shown inFigure 7-1. In addition, this SEMATECHTECHindicates that each wafer sizeremains in production for approximately 24years Ð allowing companies sufficient time torecoup investments in the technology.larger wafers. By the year 2000, the first pro-roughly twice as many dice per wafer as200mm wafers. Driving forces for all wafersize transitions include the factors of ever-increasing die size and increasing numbersincreasing global competition, 200mminstalled base and market conditions areworthy and cost effective. Today, while man-ufacturers in the silicon world are making300mm processing, many GaAs manufactur-ers are undergoing or considering transitionsto 150mm processing from 100mm. The rela-tive wafer sizes are shown in Figure 7-2. Upgrading to a New Wafer SizeWafer size increases can also be viewed interms of percentage increase in wafer area, asshown in Figure 7-3. Interestingly, the movefrom 100mm (4 inch) wafers to 150mm (6inch) wafers increased the silicon area by 125percent Ñ the same relative gain that will berealized when semiconductor companiesmake the transition from todayÕs 200mm (8300mm, the same gain requires a jump to450mm wafers. Trends indicate that waferenabled a 4 percent per year productivityimprovement, and the transition to 300mmshould provide between 2 and 4 percent per INTEGRATED CIRCUITENGINEERING CORPORATION Changing Wafer Size and the Move to 300mm early adopters of 200mm technology ar Area Demand/Year (10Year '60'65'70'75'80'85'90'95'00'05'10'15'20'25 100,00010,0001,000100101 Source: VLSI Research, SEMATECH, I300ITotal Wafer Area Trend Model e 7-1. Lifecycles of Dif or 125mm to 150mm wafer pr Source: ICE100mm125mm150mm200mm300mm e 7-2. Relative Dif Changing Wafer Size and the Move to 300mmINTEGRATED CIRCUITENGINEERING CORPORATION4 50100150200 �� ����������������� �� ����������������� �������������������� ������������������������������������������� ���������������������������������������� �� ���������������������������������������� ������������������������������������������� ����������������������������������������������������� �������������������������������������������������� �� �������������������������������������������������� ����������������������������������������������������� ��������������������������� ������������������������ �� ������������������������ ��������������������������� �������������������� ����������������� �� ����������������� �� ����������������� �������������������� ���������������� ������������� �� ������������� �� ������������� ���������������� ������������������������������������������� ���������������������������������������� �� ���������������������������������������� �� ���������������������������������������� ������������������������������������������� ��������������������������� ������������������������ �� ������������������������ ��������������������������� ������������������������������������������� ���������������������������������������� �� ���������������������������������������� ������������������������������������������� 12556781257815612556Wafer Diameter Transition100mm ® 125mm100mm ® 150mm125mm ® 200mm150mm ® 200mm200mm ® 250mmPercent IncreaseSource: ICE18603A250mm ® 300mm200mm ® 300mm300mm ® 400mm300mm ® 450mm44 e 7-3. W Source: ICEDice Per WaferDie Size (mm2)10,0001,000100101102004006008001,0001,2001,400 300 mm200 mm e 7-4. Dice Per W Changing Wafer Size and the Move to 300mmINTEGRATED CIRCUITENGINEERING CORPORATION5 DIE AREAmil x1,0002mm23-INCH100mm125mm150mm200mm 90.096.1102.4313.6324.91,000.058.162.0103.2217.0224.6 milsmm3003107307401,000 7.610.217.017.3DIE SIZE**AREA 52444Ñ 9644 4 15696 240962424CANDIDATE NUMBER OF WHOLE DICE448424967668 Corner of die at center of full radius wafer.3mm band around edge of wafer not used.***22673Source: ICE300mm 1,0601,01293624022496 e 7-5. Die Size V Changing Wafer Size and the Move to 300mmINTEGRATED CIRCUITENGINEERING CORPORATION6Studies like this and others can be used totarget key areas for cost reduction in 200mmfabs. In this example, the authors cited possi-ble reductions in bath volume through cassetteor cassette-less processing (which would bringDI water and consumables costs down), or theuse of hot DI water or sonic energy rinses toreduce rinse times. Operating costs can bereduced by optimizing the system for higherthroughput and utilization. For instance, anincrease of 10 wafers per hour throughput or 5percent utilization would reduce the 200mmCOO by 13 to 15 cents. Initial cost of the wetbench would have to be reduced by $500,000to have an equivalent impact.[2]Many of thecurrent 200mm wafer cleaning systems featurereduced use of DI water and chemicals,smaller tool footprint, increased system avail-ability, and higher throughputs.In summary, the factor affecting cost savingsthe most in wafer size transitions is the rela-tive cost-effectiveness of the equipment usedto process the two wafer sizes. Users makingthe transition must weigh the added cost ofnext-generation equipment and possiblylower throughput and productivity (espe-cially with single-wafer systems) versus thelong-term benefits of more dice per wafer,assuming that the same or better yields canbe realized on the larger wafers.Understanding such differences, it comes asno surprise to learn that the transition from150mm to 200mm processing occurred theslowest of any transition, requiring 5 years toreach 100 million square inches of produc-tion instead of 3 years in the case of 150, 125and 100mm wafers.[3]200mm processingtools first became available in the late 1980s,and approximately a decade later about athird of all wafers shipped are 200mm.The Promise of 300mm WafersAs of early 1997, seven IC manufacturerswere planning 300mm pilot line operationsfor 1998, and anticipating production ramp-up in 1999. These firms include:¥ Hitachi¥ IBMola¥ NEC¥ Texas Instruments Source: Microcontamination20186 150mm Wet Bench EquipmentOthers15%Others18%DI Water32%DI Water13%Capital23%Capital31%Consumables16%Facilities20%Facilities14%Monitors18% e 7-6. Components of Operating Costs of 200mm and 150mm W cent. Starting wafer cost High Volume (20,000)Medium Volume (10,000)Fab Size (Wafers per Month)Ñ21998Ñ119995Ñ20004Ñ20012Ñ2002 Source: SEMI22665 e 7-7. Planned 300mm W Changing Wafer Size and the Move to 300mmINTEGRATED CIRCUITENGINEERING CORPORATION8Today industry experts are much more con-fident that these costs can be brought downwith TIÕs Robert Doering estimating a 20-40cent increase in tool cost, 3-14 percentmore dice per wafer (based on lower edgeloss for larger chips), and an overall reduc-tion in cost per chip of 27-39 percent, asshown in Figure 7-9.[4]In addition, TI esti-mates that labor cost, materials use andemissions should be comparable betweenthe two wafer sizes and that higher yieldsmay be possible. As of 1Q Õ97, prime 300mmwere as high as $1,500 but are expected todrop to $650-$800 each in volume. A300mm test wafer is shown in Figure 7-10.One industry participant estimated that pos-sibly over 40,000 test wafers will be requiredto validate equipment in 1997 alone.Bringing down the starting wafer cost isabsolutely critical. Intel has stated that the300mm cost per wafer cannot exceed 200mmcost per wafer. To meet this, higher through-puts on all tools is required and the utiliza-tion of chemicals and materials must beeased (dramatically in some cases),including that of ultrapure DI water. $75$50 Source: VLSI Research Inc.22798 e 7-8. Cost/Unit of Silicon Cost Per Square cmUsable Portion of WaferCost Per Chipool Capital CostMaterials UseYield25 - 30% Less3 - 14% More27 - 39% LessAbout Equal20 - 40% MoreAbout EqualSlightly BetterSource: Texas Instruments22623 e 7-9. 300mm V At the 2nd annual global 300mmAt the 2nd annual global 300mmheld in June of 1997,MotorolaÕs Manufacturing TechnologyDevelopment presented a comparison of256M DRAM die cost on 200mm and 300mmwafers showing a 40 percent lower die costassuming comparable sized fabs (Figure 7-11). Even with downsizing the 300mm fab to Source: MRS Technology22622 e 7-10. A Source: Motorola MTD22772ToolDepreciation42%Tool Maintence15%Direct Pers6% Indirect Pers7%ManufacturedSpace7.5%Non-ManufacturedSpace.5%Wafer12%Consumables7%ControlWafer3%ToolDepreciation41%Tool Maintence15%Direct Pers8%Indirect Pers10%ManufacturedSpace10%Non-ManufacturedSpace1%Wafer4%Consumables10%ControlWafer1%200M300M $1.45X 300mmPercent Delta(300/200)85Ñ68Ñ208Ñ163Ñ+145Ð40.8+140Ð39.6280mm2 Die Count280mm2 Die Cost350mm2 Die Count350mm2 Die Cost Key Assumptions:¥ 20K Wafer Starts/Month¥ 300mm/200m Tool Cost = 1.3X¥ 300mm/200mm Wafer Cost = 3.7X 1. 256M DRAM Die Cost Analysis Changing Wafer Size and the Move to 300mmINTEGRATED CIRCUITENGINEERING CORPORATION300mm DevelopmentThe development of 300mm processingcapability is primarily taking place in Austin,Texas, and Yokohama, Japan.In early 1996, a cooperative venture wasformed between 10 Japanese firms to assessand improve 300mm wafer quality, and toevaluate 300mm wafer processing equipment.Companies involved in the venture includeNEC, Toshiba, Hitachi, Fujitsu, Mitsubishi,Matsushita, Oki, Sanyo, Sharp, and Sony.Wafer standards are being developed by aworking group including representativesom the EIAJ, JEIDA, SIRIJ, Japan Society ofNewer Metals, and SEAJ. The group is testing10-15 wafer processing systems at its lab inYokohama, and is expected to have received60 systems by the end of 1997, including a248nm stepper from Canon. The SELETEganization is expected to spend roughly$350 million between 1996 and 2000, andbetween SELETE, the Japan Working Groupfor 300mm Technology and the Association of-advanced Electronics Technologies,oximate funding is $550million (60 bil-lion yen) over five years.Meanwhile, a parallel effort was organizedamong U.S., European, Korean, andTaiwanese firms, the International 300mmInitiative (I3001). Participants include Intel,ola, Lucent Technologies, TexasInstruments, IBM, AMD, Siemens, SGS-Thomson, Philips, Samsung, Hyundai, LGSemicon, and TSMC. Both groups target late1997 or early 1998 for first 300mm wafer use,and first production on 0.25µm or 0.18µmgeneration of devices (256M and 1GDRAMs, respectively).I3001 anticipates having 70-80 wafer process-ing tools tested and qualified by the end of1998. Initially funded at $26 million ($2 mil-lion from each of its 13 members), I3001Õs 18-month program goals include:¥oviding inputs to international stan-ds activities, ¥developing consensus on performancemetrics and demonstration methods,¥demonstrating 300mm equipment/materi-als for 0.25µm processing, ¥defining a program by mid-1998 fordemonstrating and qualifying 0.18µmequipment, which will be performedough 2000.Over 30 pieces of equipment will begindemonstration in SEMATECHÕs lab inAustin in 1997. These tools are manufacturedom a number of different vendors world-wide including ADE, Applied Materials,JEOL, Kokusai, Leica, Lumonics, Mattson,Schmitt Measurement, SCP, SEZ, Tencor, TELand Verteq.Equipment DevelopmentsSome of the equipment-level developmentsin 300mm processing include the following:¥AET Thermal shipped an RTAsystem toMEMC¥Applied shipped its first 300mm RTPsystem to Hyundai¥Empak announced its first front-openingpod¥Equipe Technologies developed a vacuumcluster tool platform¥Horiba developed an interferometer-basedwafer flatness tester¥Kokusai Electric has prototyped a 300mmdiffusion furnace Changing Wafer Size and the Move to 300mmINTEGRATED CIRCUITENGINEERING CORPORATION¥Nanometrics installed a thin film metr-ogy tool at SELETEÕs lab¥Automation developed a tool thatloads wafer carriers to and from a loadport, first integrated on EatonÕs andSTEAGÕs tools¥on Systems is developing a300mm automated wet station¥Tokyo Seimitsu and Kulicke & Soffa aredeveloping a 300mm dicing machineStandardsdization of many tool-specific issuesshould reduce 300mm capital equipmentcost significantly. As summarized in Theoduction Cost Savings Forum Report[5],lack of standardization in the industry onnon-competitive parts of the wafer process-ing systems typically leads to capital costeases of up to 2X the base system cost.For this reason, such standards are beingdeveloped for 300mm processing with manyof the issues are summarized in Figure 7-12. Sputtering challenges include step coverageof barrier metals and the ability to fill higheraspect ratio holes uniformly. Bringing downthe cost of high energy ion implanters willincrease the likelihood that its brought intothe fab, while low current challenges forshallower source and drain junctions remaina challenge. The industry has identifiede tools and defect detection tools asbeing two of the most significant challengesfor 300mm processing. Stepper performance(depth of field, overlay and resolution) of248nm tools is the primary concern, followedby reliability of the system and excimer laser,stage speed and accuracy, and vibration con-trol. Efficient in-situ monitoring and clean-ing processes are needed for CVDmulti-chamber systems in addition to theaccommodation of new materials in bothCVD and etch. Small batch (also called mini-batch) systems are being considered forwafer cleaning and furnace processes.Beyond the processing equipment, factoryautomation in a 300mm fab is critical. In par-ticular, a lack of standards for automatedoduction and handling systems exists. Theindustry must also standardize the way thatocess tools and handlers interface with thecarrier. Currently both open and closed carri-ers are being considered.Most believe that the transitional lot size of 24wafers would not be manageable beyond200mm processing. Thirteen wafer lots andsmaller are being considered. Ergonomicissues become critical at 300mm and largerwafer sizes. For instance, 150mm wafers are0.675mm thick and weigh about 30 grams,while 200mm wafers have a thickness of0.725mm and weigh 50-60 grams. The pro-posed new 300mm wafer will be approxi-mately 0.800mm thick, weighing about 140grams. The automation needed to movebatches of 300mm wafers will be costly. This isan issue that many Japanese and other ICers realized early in 200mm pro-cessing, and many U.S. manufacturers arebeginning to understand as they implementautomation to improve the efficiency oftodayÕs 200mm fabs. Even so, 200mm process-ing equipment has no standard equipmentinterface and there are multiple differences inloading height, depth and direction by equip-ment manufacturer and equipment type. Changing Wafer Size and the Move to 300mmINTEGRATED CIRCUITENGINEERING CORPORATION ImplanterLithographyCVDÑ3 DeviceManufacturersÑ3 DeviceManufacturers7 DeviceManufacturers6 DeviceManufacturers7 DeviceManufacturers7 DeviceManufacturersDevice ManufacturerEquipment ManufacturerSingle WaferBatchTypeCommentÑÑ¥ Resolution, overlay accuracy, exposure field Capability for new resist material¥ Keep up with advanced process with high density Higher reliability, particle free transfer mechanism Multi-chamber, continuous processing ¥ Less particles/higher coverage and better filling¥ Sputtering in finer, higher aspect ratio holes¥ Less contamination, residues¥ Establishment of chamber monitoring technologies (stage temperature uniformity, RGA, Across the surface erosion cathode¥ Improve existing technologies for larger diameter¥ Step coverage, especially bottom coverage (barrier metal, etc.)¥ Equipment stability, maintenance free equipment¥ Higher speed, charge up, footprint, lower weight¥ Lower prices for high energy implanter¥ Control over contamination, and damage¥ Batch type for high dose; single wafer for medium dose Contamination reduction¥ Medium dose: improve the beam current uniformity across the wafer High dose: better throughput¥ Single wafer high current implanter equipment (without a drop in throughput) Introduction of high energy implanter, smaller equipment footprint¥ Excimer lithography capability (control over the atmosphere) Faster pattern defect inspection and automation (wafers) Optical system function (0.18-0.25µm)¥ Efficient in-line setup between CV/DV and stepper¥ Safer, longer lifetime, and finer geometry excimer process Measures for reducing construction cost and running cost Localized hollowing by equipment module¥ Mask transfer/loading method (less clean environment) standardization of mask I/O among Vibration specification¥ Lack of basic performance (resolution, depth of field, dimensional tolerance, overlay accuracy) Larger field size, improvement in resolution alignment, resist development (excimer, etc.)¥ Fewer particles/higher coverage, better planarization Wider applications (smaller geometries, new materials) Improved dust control and safety¥ In situ monitoring and cleaning¥ Hardware specification for making native oxide free polysilicon and SiN deposition possible using Single wafer LPCVD (high throughput needed)Source: SEMI22674/22675 e 7-12. 300mm T Changing Wafer Size and the Move to 300mmINTEGRATED CIRCUITENGINEERING CORPORATION Dry EtchWet EtchDiffusionÑ5 DeviceManufacturers6 DeviceManufacturers7 DeviceManufacturers7 DeviceManufacturersDevice ManufacturerEquipment ManufacturerSingle WaferBatchTypeComment¥ Ensuring performance uniformity Improvement in plasma uniformity (to keep up with¥ Lot size (throughput) definition for compound¥ Structure of end station tie-in Wafer cooling¥ Matching between beam scanning system and Better transfer system reliability ¥ Elimination of particles/no residues/anisotropic etching/low damage EDP reliability¥ Wider applications (finer geometries, new material)¥ Better control over dust and safety¥ In situ monitoring and cleaning¥ Process technology for 0.2µm¥ Uniformity and throughput improvement with better profile selectivity will be required for 12" Improve existing technology for larger diameter¥ Finer geometry processing (contact) and selectivity (Al) are needed, although no problem Stable performance and self cleaning technology¥ Less particles/control over suface cleanliness/ control over atmosphere Particle¥ Single wafer and in-line process, simpler, dry process Combination of dry etch and light etch¥ Use multiple chemicals for resist stripping depending on the process Small, low capacity wet etcher for various applications Combined use of single wafer treatment equipment and small batch treatment equipment Problems of micro-roughness and native oxide treatment Less chemicals should be used for single wafer treatment¥ Non-contact wafer temperature measurement method Speed of temperature ramp up and ramp down (prevent wafer warp) Wider use of RTP, low temperature treatment¥ Improved uniformity¥ 8" diameter: entire process is batch type for 64M, cluster for critical steps with 256M (single wafer or 12" diameter: same as 8" for critical steps, mini batch treatment for other steps Maintain the throughput¥ Need to develop high speed anneal¥ Small batch treatment¥ Lower equipment cost and establishment of low temperature process for single wafer diffusionSource: SEMI22676/22677 e 7-12. 300mm T Changing Wafer Size and the Move to 300mmINTEGRATED CIRCUITENGINEERING CORPORATIONBeyond equipment concerns, Figure 7-13shows the targeted production schedule for300mm starting wafers from five major sup-pliers, and looking out further the supplyescalates beyond the year 2001 (Figure 7-14)ding to the Japan Society of NewAs shown in Figure 7-15, exports ofsilicon from Japan has increased significantlyin recent years as semiconductor manufac-turing in other regions has continued toincrease. One of the major challenges for sil-icon suppliers is managing 300mm demandtogether with balancing demand for wafersof the various other sizes. Some of the chal-lenges for 400mm have already been antici-pated (Figure 7-16). Monthly Prototype Production TargetsJuly 96Dec. 96July 97July 98Dec. 97SEHSumitomooshibaTotal1,000500Ñ2,0005,0001,000Ñ7,0001,000Ñ8,0005,000Ñ13,00020,00010,0002,00038,000 Source: Nikkei Sangyo Shimbun, Nihon Keizai22625 e 7-13. Japan 300mm W 200 2011200620011996YearUnits Per Month (Thousand)Source: JSNM22627 300mm Wafers400mm Wafers e 7-14. Lar Changing Wafer Size and the Move to 300mmINTEGRATED CIRCUITENGINEERING CORPORATIONOutlook for 300mm Wafer Processinghaps the biggest question in 300mmdevelopment pertains to the issue of cost ÐHow will the semiconductor manufacturingand equipment and materials suppliers,with a combined market size of approxi-mately $170 billion, build a $15-20 billionucture for 300mm processing in afew years while meeting on-going require-ments for device and process developmentfor 0.25µm, 0.18µm, and future generationsof devices? Possibly many semiconductormanufacturers will find that through alter-native device design techniques, smaller diesize will be possible, thereby delaying300mm adoption out to the 0.15µµm generation.The second pressing question is to what theextent will the two consortiums, I3001 andSELETE, cooperate? Information from SEMIindicates that standards will be jointly devel-oped but the tool projects are clearly separateefforts. Time will tell to what extent 300mmocessing information will be shared. 1,000 19961995199419931992TonsSource: JEI226264,5005,0004,0994,1083,8163,3273,0513,0282,4582,5092,1152,335 Polysilicon ProductionExportsDemand Within JapanSingle-Crystal Silicon SalesActual SalesProjected Sales e 7-15. Pr ated with the 300mm transition. No one in Growth of a 400kg. 400mmdiameter dislocation-freeDevelop crystal cutting,polishing, etching, and wetµm orbetter, surface metalcontamination of 108atoms/cm2, and controlledparticle size of 0.04µm orbetterGrow epi films withthickness and resistivity, and transistionsregion width of 0.5µm orlessCrystal GrowthWafer FabricationEpitaxial GrowthEquipmentdesignandsimulationEquipmentdesignEquipmentdesignEquipmentfabricationand basicexperimentsEquipmentfabricationandexperimentsEquipmentfabricationandexperimentsEquipmentrevisionand crystalgrowthEquipmentrevisionandexperimentsEquipmentrevisionandexperimentsIntegrationwith otherprocessesIntegrationwithinspection/evaluationtechniquesEquipmentrevisionand finalexperimentsÑIntegrationwith otherprocessesIntegrationwith otherprocesses Source: Super Silicon Crystal Research Institute22628DepartmentGoal19961997199819992000 e 7-16. Roadmap for 400mm W 7 Changing Wafer Size