/
Genome Read In-Memory  (GRIM) Filter Genome Read In-Memory  (GRIM) Filter

Genome Read In-Memory (GRIM) Filter - PowerPoint Presentation

contessi
contessi . @contessi
Follow
343 views
Uploaded On 2020-10-22

Genome Read In-Memory (GRIM) Filter - PPT Presentation

Fast Location Filtering in DNA Read Mapping with Emerging Memory Technologies Jeremie Kim Damla Senol Hongyi Xin Donghyuk Lee Mohammed Alser Hasan Hassan ID: 815101

read memory key filter memory read filter key filtering mapping gram stacked grim fast location emerging logic false genome

Share:

Link:

Embed:

Download Presentation from below link

Download The PPT/PDF document "Genome Read In-Memory (GRIM) Filter" is the property of its rightful owner. Permission is granted to download and print the materials on this web site for personal, non-commercial use only, and to display it on your personal computer provided you do not modify the materials and that you retain all copyright notices contained in the materials. By downloading content from our website, you accept the terms of this agreement.


Presentation Transcript

Slide1

Genome Read In-Memory (GRIM) Filter Fast Location Filtering in DNA Read Mapping with Emerging Memory Technologies

Jeremie Kim, Damla Senol, Hongyi Xin, Donghyuk Lee, Mohammed Alser, Hasan Hassan, Oguz Ergin, Can Alkan, and Onur Mutlu

Slide2

Introduction3D-stacked Memory: an emerging technology Processing-in-Memory (PIM) allows embedding customized logicEnables high bandwidthRead mapping can utilize this technology to gain major performance improvements because it is:

Compute intensiveMemory intensiveGoal: We propose an implementation of read mapping using Processing-in-Memory (PIM) for acceleration2

Slide3

Hash Table Based Read MappersOur work focuses on hash table based read mappersThe filtering step in read mappers is now the bottleneck Mappers align billions of reads, most incorrect mappings

Filter Purpose: quickly rejects incorrect mappings before alignment – to reduces costly edit distance calculationsCostly because: they are compute and memory intensiveCalled for every candidate mapping location Filtering each location requires nontrivial compute / multiple memory accessesHow can we alleviate the bottleneck?3

Slide4

ProblemFilters are generally either fast or accurate, i.e.FastHASH [Xin+, BMC Genomics 2013]Fast but inaccurate under high error tolerance settings

Q-Gram [Rasmussen+, Journal of Computational Biology 2006]Slow but accurateWe Propose: GRIM-FilterFaster than FastHASH with the accuracy of q-gramAccomplished this by employing an emerging memory technology4

Slide5

Key IdeasGRIM-Filter, a PIM-friendly filtering algorithm that is both fast and accurate. GRIM-Filter is built upon two key ideas 1. Modify q-gram string matching

Enables concurrent checking for multiple locations2. Utilize a 3D-stacked DRAM architecture Alleviates memory bandwidth issue Parallelizes most of the filter5

Slide6

Key Idea 1 – Q-gram ModificationModify q-gram string matching for concurrently checking for multiple locations. 6

Reference Genome

Read

Slide7

Key Idea 2 – Utilize 3D-stacked Memory3D-stacked DRAM architecture is extremely high bandwidth and can parallelize

most of the filterEmbed GRIM-Filter into DRAM logic layer and appropriately distribute bitvectors throughout memory7Memory Array

Customized Logic

Logic Layer

TSVs

Memory Layers

http://

images.anandtech.com

/

doci

/9266/HBMCar_678x452.jpg

http://i1-news.softpedia-static.com/images/news2/Micron-and-Samsung-Join-Force-to-Create-Next-Gen-Hybrid-Memory-2.png

Slide8

Q-gram Modified in 3D stacked DRAMWe employ both key ideas to implement the following figure to modify q-gram filtering in order to make it more amenable for processing-in-memory 8

Memory ArrayCustomized Logic

Slide9

Key Results6.3x fewer false positive locations

2.4x faster end-to-end runtimeGRIMM performance compared to the best previous mapper with filter:9

Time (x1000 seconds)

False Negative Rates (%)

2.08x

average performance benefit on real data sets

5.97x

reduction in False

Negative Rate on real data sets

Slide10

ConclusionsWe propose an in memory filter that can drastically speed up read mapping Compared to the previous best filterWe observed 1.81x-3.65x speedup

We observed 5.59x-6.41x fewer false negativesGRIM-Filter is a universal filter that can be applied to any read mapper 10

Slide11

Thank You! Poster #11811

Slide12

Genome Read In-Memory (GRIM) Filter Fast Location Filtering in DNA Read Mapping with Emerging Memory Technologies

Jeremie Kim, Damla Senol, Hongyi Xin, Donghyuk Lee, Mohammed Alser, Hasan Hassan, Oguz Ergin, Can Alkan, and Onur Mutlu